RUS  ENG
Полная версия
ЖУРНАЛЫ // Автоматика и телемеханика // Архив

Автомат. и телемех., 2021, выпуск 11, страницы 148–168 (Mi at15834)

Эта публикация цитируется в 2 статьях

Оптимизация, системный анализ и исследование операций

Построение последовательности, обнаруживающей робастно тестируемые неисправности задержек путей в схемах с памятью

А. Ю. Матросова, С. В. Чернышов, О. Х. Ким, Е. А. Николаева

Национальный исследовательский Томский государственный университет

Аннотация: Предлагается метод построения последовательности булевых векторов входных переменных, доставляющей тестовые пары $(v_1, v_2)$ соседних векторов в пространстве входных и внутренних переменных для робастно тестируемых неисправностей задержек путей (робастных Path Delay Faults (PDFs)) в логических схемах с памятью. Целью данной работы является выяснение возможности построения тестовой последовательности для заданного подмножества путей без использования технологий сканирования, т.е. без дополнительных аппаратурных затрат в рамках ограничения на длину последовательности для отдельного пути. Проведенные эксперименты показывают, что тестовые последовательности удается построить не для всех путей (иногда ни для одного), для которых существуют тестовые пары в комбинационной составляющей схемы с памятью.

Ключевые слова: логическая схема с памятью, установочная последовательность, Reduced Ordered Binary Decision Diagram (ROBDD), робастно тестируемая неисправность задержки пути (PDF), rising (falling) transition.

Статья представлена к публикации членом редколлегии: М. Ф. Каравай

Поступила в редакцию: 22.05.2020
После доработки: 01.02.2021
Принята к публикации: 16.03.2021

DOI: 10.31857/S0005231021110106


 Англоязычная версия: Automation and Remote Control, 2021, 82:11, 1949–1965

Реферативные базы данных:


© МИАН, 2024